草榴社区

About VC SpyGlass CDC

VC SpyGlass? CDC provides a comprehensive methodology with scalable capacity for quality signoff with high debug productivity.

VC SpyGlass CDC correlates control and data signals resulting in a good understanding of the design intent for the lowest possible noise. It has also integrated structural and functional CDC analysis and enables single-step inbuilt formal based functional CDC analysis. Users also have the flexibility to generate Static Database to verify CDC protocols and assumptions made for structural analysis. 

Key Benefits

Billion Gate Capacity Icon | 草榴社区 VC LP

Best Signoff Quality

Comprehensive structural and functional CDC analysis using formal and simulation based solutions

Billion Gate Capacity Icon | 草榴社区 VC LP

Faster Signoff

Highest performance and capacity to achieve faster signoff leveraging inbuilt formal

Faster Turn Around Time Icon | 草榴社区 VC LP

ML-Based Root Cause Analysis

Easier and faster handling of millions of violations

ML-driven Signoff Icon | 草榴社区 VC LP

Consistency

草榴社区 Design Compiler?, SpyGlass? and PrimeTime? use models

Complete Package Icon | 草榴社区 Debug, Planning & Coverage

Automatic Extraction

Clock, reset and clock domains information from constraints

Faster Turn Around Time Icon | 草榴社区 VC LP

Protocol-Independent Analysis

Synchronizers and auto-detection of quasi-static signals for lower false violations

ML-driven Signoff Icon | 草榴社区 VC LP

Native Support & Integration

Support for UPF and SDC based CDC analysis and Verdi? integration for CDC centric debug

Complete Package Icon | 草榴社区 Debug, Planning & Coverage

Fast Turnaround

Hierarchical flows for fast turnaround using signoff abstract model

Features

SpyGlass RTL Signoff Chart with clock domain crossing verification on the chart

With increasing complexity and large design sizes, achieving predictable design closure is a challenge, and clock domain crossings (CDC) ranks near the top in difficulty. Today’s SoCs have dozens, or sometimes even hundreds, of asynchronous clock domains, making it very difficult to verify using conventional simulation or static timing analysis. CDC issues have become a leading cause of design errors. Such errors can add significant time and expense to the design-and-debug cycle and may even find their way into silicon, necessitating costly re-spins.

Resources

Support and Training

SolvNetPlus

Explore the 草榴社区 Support Community! Login is required.

SNUG

Erase boundaries and connect with the global community.