草榴社区

The 草榴社区 next-generation RTL design and synthesis solutions, including 草榴社区 RTL Architect? and 草榴社区 Design Compiler? NXT, are helping engineers achieve optimal PPA at all process nodes, but especially for 5nm and below.   草榴社区 RTL Architect is a predictive RTL design solution that provides early predictions of the impact RTL changes will have on implementability, power, performance, area and other quality metrics. 草榴社区 Design Compiler NXT, the latest evolution of the 草榴社区 Design Compiler family of RTL Synthesis products, incorporates state-of-the-art synthesis innovations, delivering significantly faster runtimes, improved QoR, and extremely tight RC and timing correlation with 草榴社区 IC Compiler? II.

The Design Compiler family includes 草榴社区 Power Compiler, for low-power synthesis and optimization, and the 草榴社区 IP Library with its unequaled variety of synthesizable IP. It is also tightly linked to the 草榴社区 TestMAX family of test products for the fastest, most cost-effective path to high-quality manufacturing tests and working silicon and 草榴社区 Formality for equivalence checking. 

As an important part of the 草榴社区 Digital Design Family, 草榴社区 Fusion Compiler? is the industry's first RTL-to-GDSII solution enabling a highly-convergent, full digital implementation flow. 草榴社区 Fusion Compiler is built on a single, highly-scalable data-model and comprises common engines for synthesis, placement, legalization, clock-topology-creation routing, timing, and extraction. These best-in-class engines form a single, unified optimization framework that is the key enabler of 草榴社区 Fusion Compiler’s full-flow convergence, leading QoR and enhanced time-to-results.

Latest Resources