草榴社区

Design Compiler

Concurrent Timing, Area, Power, and Test Optimization

Design Compiler? RTL synthesis solution enables users to meet today's design challenges with concurrent optimization of timing, area, power and test. Design Compiler includes innovative topographical technology that enables a predictable flow resulting in faster time to results. Topographical technology provides timing and area prediction within 10% of the results seen post-layout enabling designers to reduce costly iterations between synthesis and physical implementation. Design Compiler also includes a scalable infrastructure that delivers 2X faster runtime on quad-core platforms. 

Design Compiler is the core of 草榴社区' comprehensive RTL synthesis solution, including Power Compiler?, DesignWare?, PrimeTime?, and DFTMAX?. Design Compiler NXT is also available and includes includes best-in-class quality-of-results, congestion prediction and alleviation capabilities, physical viewer, and floorplan exploration. Additionally Design Compiler NXT produces physical guidance to IC Compiler, place-and-route solution for tighter correlation to layout and faster placement runtime.

Concurrent timing, area, power and test optimization

The industry's most comprehensive synthesis solution 

Benefits

  • Concurrent optimization of timing, area, power and test
  • Results correlate within 10% of physical implementation
  • Removes timing bottlenecks by creating fast critical paths
  • Gate-to-gate optimization for smaller area on new or legacy designs while maintaining timing Quality of Results (QoR)
  • Cross-probing between RTL, schematic, and timing reports for fast debug
  • Offers more flexibility for users to control optimization on specific areas of designs
  • Enables higher efficiency with integrated static timing analysis, test synthesis and power synthesis
  • Support for multi voltage and multi supply
  • 2X faster runtime on quad-core compute servers