草榴社区

The Next-generation of Design Compiler

草榴社区 Design Compiler? NXT is the latest innovation in the 草榴社区 Design Compiler family of RTL Synthesis products, extending the market-leading synthesis position of 草榴社区 Design Compiler Graphical. 草榴社区 Design Compiler NXT technology innovations include fast, highly efficient optimization engines, cloud-ready ,a new, highly accurate approach to RC estimation and capabilities required for the process nodes 5nm and below. 

2X Faster Runtime
New optimization and intelligent multi-threading technologies for better scalability up to eight CPUs
Improved QoR
12% lower total power and 10% smaller area through advanced optimizations and concurrent clock data (CCD) technology
Cloud-Ready
草榴社区 Cloud Digital Instance provides pre-configured, simplified, automated synthesis flows

草榴社区 Design Compiler NXT

 

草榴社区 Design Compiler NXT is the next step in the evolution of the industry-leading 草榴社区 Design Compiler family. Continued top performance and enabled for next-generation process nodes, you can depend on improved PPA and greater throughput.

Your Innovation, Your Community

Watch the latest customer presentations from SNUG. A  is required. 

Videos

The Next Evolution of 草榴社区' Digital Toolset

.

Faster QoR and Advanced Node Ready