草榴社区

DC Ultra

タイミング、面积、电力、テストの同时最适化

DC Ultra? RTL合成ソリューションを使用することで、タイミング、面积、电力、テストの同时最适化という今日の设计における要件に対応できます。DC Ultraには、画期的なトポグラフィカル?テクノロジが含まれており、より短時間に結果を出す予想可能性の高いフローが実現できます。トポグラフィカル?テクノロジは、レイアウト後、最大10%のタイミングと面積結果を予想できるため、コストのかかる合成とフィジカル?インプリメンテーション間の反復を減らすことができます。DC Ultraには、4コア?プラットフォームで実行時間を半分に高速化する拡張可能なインフラストラクチャも含まれています。 

DC Ultraは、Power Compiler?、DesignWare?、PrimeTime?、 DFTMAX?を含む、シノプシスの包括的なRTL合成ソリューションの中核です。Design Compiler Graphicalは、DC Ultraへのアドオンとして提供されており、最高の結果品質、混雑予想および低減機能、フィジカル?ビューア、フロアプラン検討機能が含まれています。また、Design Compiler Graphicalは、IC Compiler II配置配線ソリューション用のフィジカル?ガイダンスを作成して相関性を改善し、配置の実行速度を向上することができます。

並行タイミング、面積、電力、テスト最適化

业界随一の包括的な合成およびテスト?ソリューション 

主な特长

  • タイミング、面积、电力、テストを同时に最适化
  • フィジカル?インプリメンテーション比10%内の相関性
  • 高速のクリティカル?パスを作成することによりタイミングのボトルネックを排除
  • ゲートツーゲートの最適化により、タイミングQoRを維持しながら新規またはレガシー设计の面積を縮小
  • 搁罢尝、スケマティック、タイミング?レポート间のクロスプロービングによるデバッグの高速化
  • 设计の特定の領域の最適化をユーザーが制御できるため、高い柔軟性が実現
  • スタティックタイミング解析、テスト合成およびローパワー合成が统合されており、高い効率性が実现
  • 多电圧および多电源のサポート
  • 4コア?サーバ上で実行速度が半分