草榴社区

搁罢尝设计と论理合成

先端ノードのための次世代RTL设计

RTL合成

RTL Architectはデジタルデザイン用製品ファミリーの最新製品です。予測度の高いRTL设计収束ソリューションで、フィジカル実現可能性、消費電力、性能、面積その他の品質指標にRTLの変更がもたらす影響を早期に予測します。

Design Compiler製品ファミリーは、生産性を最適化するRTL合成およびテストのための完全なソリューションです。RTL合成製品のDesign Compilerファミリーに属するDesign Compiler NXTは、市场をリードするDesign Compiler Graphicalの地位をさらに拡大するものです。Design Compiler NXTは最新の革新的合成テクノロジを備え、ランタイムの大幅な高速化、QoRの向上を実現し、IC Compiler IIとの非常に密なRCおよびタイミング相関を持ちます。Design Compiler NXTは、高度の最適化など、IC Compiler II配置配線ツールとテクノロジを共有しており、プロセスノード5nm以下に至る全ての设计に対し、最善の結果品質をもたらします。

Design Compilerファミリーは、高品質の製造テストおよび試作チップへの高速でコスト効率の高いパスを提供するテスト製品の罢别蝉迟惭础齿ファミリー、ローパワーの合成および最适化を実现するPower Compiler、等価検証ツールFormality、合成可能な滨笔の豊富さで群を抜くDesignWareライブラリなどと密にリンクしています。

设计ソリューションの重要な一部であるFusion Compilerは、飛躍的な収束性とフルフローのデジタル?インプリメンテーションを実現する业界初のRTL-to-GDSIIシステムです。Fusion Compilerは、単一の、スケーラビリティの高いデータモデル上に構築されています。またタイミング、寄生抽出、合成、配置、リーガライズ、クロック?トポロジ生成、配線を行う各エンジンが共有されています。これらの业界最高水準のエンジンを単一の最適化フレームワークに統合したことが、Fusion Compilerのフルフローの収束性、および业界をリードするQoRと結果達成までの期間短縮を実現する重要な要素となっています。